[Bug target/33049] [avr] bit extraction non optimal, inversing logic solves problem

wvangulik at xs4all dot nl gcc-bugzilla@gcc.gnu.org
Thu May 19 10:57:00 GMT 2011


http://gcc.gnu.org/bugzilla/show_bug.cgi?id=33049

Wouter van Gulik <wvangulik at xs4all dot nl> changed:

           What    |Removed                     |Added
----------------------------------------------------------------------------
      Known to fail|                            |

--- Comment #13 from Wouter van Gulik <wvangulik at xs4all dot nl> 2011-05-19 10:38:38 UTC ---
Yep it looks a lot better now.
The if statements could be optimized into the equivalent shift instructions but
that is not a AVR backend problem I guess.
I noticed that the disassembly shows wrong lengths for some outputs of extzv.
Is that a problem?



More information about the Gcc-bugs mailing list