This is the mail archive of the gcc-bugs@gcc.gnu.org mailing list for the GCC project.


Index Nav: [Date Index] [Subject Index] [Author Index] [Thread Index]
Message Nav: [Date Prev] [Date Next] [Thread Prev] [Thread Next]
Other format: [Raw text]

target/7380: gcc-3.1.1 powerpc-linux ICE


>Number:         7380
>Category:       target
>Synopsis:       ICE in extract_insn, at recog.c:2148
>Confidential:   no
>Severity:       serious
>Priority:       medium
>Responsible:    unassigned
>State:          open
>Class:          ice-on-legal-code
>Submitter-Id:   net
>Arrival-Date:   Mon Jul 22 19:26:00 PDT 2002
>Closed-Date:
>Last-Modified:
>Originator:     Alan Modra
>Release:        3.1.1 20020720 (prerelease)
>Organization:
IBM
>Environment:
configured with: /src/gcc-3.1/configure --prefix=/usr/local --build=i686-linux --host=i686-linux --target=powerpc-linux --disable-nls --enable-languages=c,c++
>Description:
	rs6000.md splitters generate patterns that don't match insns
>How-To-Repeat:
	-O2 -S on attached .i
>Fix:
This patch goes a little further than just fixing the immediate problem
in the (plus:SI (gt:SI ..)) splitter.  There were a number of similar
splitters with missing scratch regs, and after fixing a few I decided
that a better fix was to remove the need for the scratch reg in the
insn.

	* config/rs6000/rs6000.md: Remove scratch reg on insns using
	addze and similar (plus (comparison r1 r2) r3) insns.  Add
	missing scratch reg in one case.  Formatting fixes.

diff -urp gcc-3.1/gcc/config/rs6000/rs6000.md gcc-3.1-am/gcc/config/rs6000/rs6000.md
--- gcc-3.1/gcc/config/rs6000/rs6000.md	2002-07-15 14:44:53.000000000 +0930
+++ gcc-3.1-am/gcc/config/rs6000/rs6000.md	2002-07-23 07:51:45.000000000 +0930
@@ -11256,12 +11256,11 @@
   [(set (match_operand:SI 0 "gpc_reg_operand" "=r,r")
 	(plus:SI (le:SI (match_operand:SI 1 "gpc_reg_operand" "r,r")
 			(match_operand:SI 2 "reg_or_short_operand" "r,O"))
-		 (match_operand:SI 3 "gpc_reg_operand" "r,r")))
-   (clobber (match_scratch:SI 4 "=&r,&r"))]
+		 (match_operand:SI 3 "gpc_reg_operand" "r,r")))]
   "TARGET_POWER"
   "@
-   doz %4,%2,%1\;{sfi|subfic} %4,%4,0\;{aze|addze} %0,%3
-   {srai|srawi} %4,%1,31\;{sf|subfc} %4,%1,%4\;{aze|addze} %0,%3"
+   doz %0,%2,%1\;{sfi|subfic} %0,%0,0\;{aze|addze} %0,%3
+   {srai|srawi} %0,%1,31\;{sf|subfc} %0,%1,%0\;{aze|addze} %0,%3"
   [(set_attr "length" "12")])
 
 (define_insn ""
@@ -11292,46 +11291,43 @@
   "TARGET_POWER && reload_completed"
   [(set (match_dup 4)
 	(plus:SI (le:SI (match_dup 1) (match_dup 2))
-		  (match_dup 3)))
+		 (match_dup 3)))
    (set (match_dup 0)
 	(compare:CC (match_dup 4)
 		    (const_int 0)))]
   "")
 
 (define_insn ""
-  [(set (match_operand:CC 5 "cc_reg_operand" "=x,x,?y,?y")
+  [(set (match_operand:CC 4 "cc_reg_operand" "=x,x,?y,?y")
 	(compare:CC
 	 (plus:SI (le:SI (match_operand:SI 1 "gpc_reg_operand" "r,r,r,r")
 			 (match_operand:SI 2 "reg_or_short_operand" "r,O,r,O"))
 		  (match_operand:SI 3 "gpc_reg_operand" "r,r,r,r"))
 	 (const_int 0)))
    (set (match_operand:SI 0 "gpc_reg_operand" "=r,r,r,r")
-	(plus:SI (le:SI (match_dup 1) (match_dup 2)) (match_dup 3)))
-   (clobber (match_scratch:SI 4 "=&r,&r,&r,&r"))]
+	(plus:SI (le:SI (match_dup 1) (match_dup 2)) (match_dup 3)))]
   "TARGET_POWER"
   "@
-   doz %4,%2,%1\;{sfi|subfic} %4,%4,0\;{aze.|addze.} %0,%3
-   {srai|srawi} %4,%1,31\;{sf|subfc} %4,%1,%4\;{aze.|addze.} %0,%3
+   doz %0,%2,%1\;{sfi|subfic} %0,%0,0\;{aze.|addze.} %0,%3
+   {srai|srawi} %0,%1,31\;{sf|subfc} %0,%1,%0\;{aze.|addze.} %0,%3
    #
    #"
   [(set_attr "type" "compare")
    (set_attr "length" "12,12,16,16")])
 
 (define_split
-  [(set (match_operand:CC 5 "cc_reg_not_cr0_operand" "")
+  [(set (match_operand:CC 4 "cc_reg_not_cr0_operand" "")
 	(compare:CC
 	 (plus:SI (le:SI (match_operand:SI 1 "gpc_reg_operand" "")
 			 (match_operand:SI 2 "reg_or_short_operand" ""))
 		  (match_operand:SI 3 "gpc_reg_operand" ""))
 	 (const_int 0)))
    (set (match_operand:SI 0 "gpc_reg_operand" "")
-	(plus:SI (le:SI (match_dup 1) (match_dup 2)) (match_dup 3)))
-   (clobber (match_scratch:SI 4 ""))]
+	(plus:SI (le:SI (match_dup 1) (match_dup 2)) (match_dup 3)))]
   "TARGET_POWER && reload_completed"
-  [(parallel [(set (match_dup 0)
+  [(set (match_dup 0)
 	(plus:SI (le:SI (match_dup 1) (match_dup 2)) (match_dup 3)))
-   (clobber (match_dup 4))])
-   (set (match_dup 5)
+   (set (match_dup 4)
 	(compare:CC (match_dup 0)
 		    (const_int 0)))]
   "")
@@ -11481,37 +11477,34 @@
   "")
 
 (define_insn ""
-  [(set (match_operand:CC 5 "cc_reg_operand" "=x,?y")
+  [(set (match_operand:CC 4 "cc_reg_operand" "=x,?y")
 	(compare:CC
 	 (plus:SI (leu:SI (match_operand:SI 1 "gpc_reg_operand" "r,r")
 			  (match_operand:SI 2 "reg_or_short_operand" "rI,rI"))
 		  (match_operand:SI 3 "gpc_reg_operand" "r,r"))
 	 (const_int 0)))
    (set (match_operand:SI 0 "gpc_reg_operand" "=r,r")
-	(plus:SI (leu:SI (match_dup 1) (match_dup 2)) (match_dup 3)))
-   (clobber (match_scratch:SI 4 "=&r,&r"))]
+	(plus:SI (leu:SI (match_dup 1) (match_dup 2)) (match_dup 3)))]
   "! TARGET_POWERPC64"
   "@
-   {sf%I2|subf%I2c} %4,%1,%2\;{aze.|addze.} %0,%3
+   {sf%I2|subf%I2c} %0,%1,%2\;{aze.|addze.} %0,%3
    #"
   [(set_attr "type" "compare")
    (set_attr "length" "8,12")])
 
 (define_split
-  [(set (match_operand:CC 5 "cc_reg_not_cr0_operand" "")
+  [(set (match_operand:CC 4 "cc_reg_not_cr0_operand" "")
 	(compare:CC
 	 (plus:SI (leu:SI (match_operand:SI 1 "gpc_reg_operand" "")
 			  (match_operand:SI 2 "reg_or_short_operand" ""))
 		  (match_operand:SI 3 "gpc_reg_operand" ""))
 	 (const_int 0)))
    (set (match_operand:SI 0 "gpc_reg_operand" "")
-	(plus:SI (leu:SI (match_dup 1) (match_dup 2)) (match_dup 3)))
-   (clobber (match_scratch:SI 4 ""))]
+	(plus:SI (leu:SI (match_dup 1) (match_dup 2)) (match_dup 3)))]
   "! TARGET_POWERPC64 && reload_completed"
-  [(parallel [(set (match_dup 0)
+  [(set (match_dup 0)
 	(plus:SI (leu:SI (match_dup 1) (match_dup 2)) (match_dup 3)))
-   (clobber (match_dup 4))])
-   (set (match_dup 5)
+   (set (match_dup 4)
 	(compare:CC (match_dup 0)
 		    (const_int 0)))]
   "")
@@ -11529,10 +11522,9 @@
 	(and:SI (neg:SI
 		 (leu:SI (match_operand:SI 1 "gpc_reg_operand" "r")
 			 (match_operand:SI 2 "reg_or_short_operand" "rI")))
-		(match_operand:SI 3 "gpc_reg_operand" "r")))
-   (clobber (match_scratch:SI 4 "=&r"))]
+		(match_operand:SI 3 "gpc_reg_operand" "r")))]
   "! TARGET_POWERPC64"
-  "{sf%I2|subf%I2c} %4,%1,%2\;{sfe|subfe} %4,%4,%4\;andc %0,%3,%4"
+  "{sf%I2|subf%I2c} %0,%1,%2\;{sfe|subfe} %0,%0,%0\;andc %0,%3,%0"
   [(set_attr "length" "12")])
 
 (define_insn ""
@@ -11562,16 +11554,15 @@
    (clobber (match_scratch:SI 4 ""))]
   "! TARGET_POWERPC64 && reload_completed"
   [(set (match_dup 4)
-	(and:SI (neg:SI (leu:SI (match_dup 1)
-			  (match_dup 2)))
-		 (match_dup 3)))
+	(and:SI (neg:SI (leu:SI (match_dup 1) (match_dup 2)))
+		(match_dup 3)))
    (set (match_dup 0)
 	(compare:CC (match_dup 4)
 		    (const_int 0)))]
   "")
 
 (define_insn ""
-  [(set (match_operand:CC 5 "cc_reg_operand" "=x,?y")
+  [(set (match_operand:CC 4 "cc_reg_operand" "=x,?y")
 	(compare:CC
 	 (and:SI (neg:SI
 		  (leu:SI (match_operand:SI 1 "gpc_reg_operand" "r,r")
@@ -11579,17 +11570,16 @@
 		 (match_operand:SI 3 "gpc_reg_operand" "r,r"))
 	 (const_int 0)))
    (set (match_operand:SI 0 "gpc_reg_operand" "=r,r")
-	(and:SI (neg:SI (leu:SI (match_dup 1) (match_dup 2))) (match_dup 3)))
-   (clobber (match_scratch:SI 4 "=&r,&r"))]
+	(and:SI (neg:SI (leu:SI (match_dup 1) (match_dup 2))) (match_dup 3)))]
   "! TARGET_POWERPC64"
   "@
-   {sf%I2|subf%I2c} %4,%1,%2\;{sfe|subfe} %4,%4,%4\;andc. %0,%3,%4
+   {sf%I2|subf%I2c} %0,%1,%2\;{sfe|subfe} %0,%0,%0\;andc. %0,%3,%0
    #"
   [(set_attr "type" "compare")
    (set_attr "length" "12,16")])
 
 (define_split
-  [(set (match_operand:CC 5 "cc_reg_not_cr0_operand" "")
+  [(set (match_operand:CC 4 "cc_reg_not_cr0_operand" "")
 	(compare:CC
 	 (and:SI (neg:SI
 		  (leu:SI (match_operand:SI 1 "gpc_reg_operand" "")
@@ -11597,13 +11587,12 @@
 		 (match_operand:SI 3 "gpc_reg_operand" ""))
 	 (const_int 0)))
    (set (match_operand:SI 0 "gpc_reg_operand" "")
-	(and:SI (neg:SI (leu:SI (match_dup 1) (match_dup 2))) (match_dup 3)))
-   (clobber (match_scratch:SI 4 ""))]
+	(and:SI (neg:SI (leu:SI (match_dup 1) (match_dup 2))) (match_dup 3)))]
   "! TARGET_POWERPC64 && reload_completed"
-  [(parallel [(set (match_dup 0)
-	(and:SI (neg:SI (leu:SI (match_dup 1) (match_dup 2))) (match_dup 3)))
-   (clobber (match_dup 4))])
-   (set (match_dup 5)
+  [(set (match_dup 0)
+	(and:SI (neg:SI (leu:SI (match_dup 1) (match_dup 2)))
+		(match_dup 3)))
+   (set (match_dup 4)
 	(compare:CC (match_dup 0)
 		    (const_int 0)))]
   "")
@@ -11651,10 +11640,9 @@
   [(set (match_operand:SI 0 "gpc_reg_operand" "=r")
 	(plus:SI (lt:SI (match_operand:SI 1 "gpc_reg_operand" "r")
 			(match_operand:SI 2 "reg_or_short_operand" "rI"))
-		 (match_operand:SI 3 "gpc_reg_operand" "r")))
-   (clobber (match_scratch:SI 4 "=&r"))]
+		 (match_operand:SI 3 "gpc_reg_operand" "r")))]
   "TARGET_POWER"
-  "doz%I2 %4,%1,%2\;{ai|addic} %4,%4,-1\;{aze|addze} %0,%3"
+  "doz%I2 %0,%1,%2\;{ai|addic} %0,%0,-1\;{aze|addze} %0,%3"
   [(set_attr "length" "12")])
 
 (define_insn ""
@@ -11683,44 +11671,41 @@
   "TARGET_POWER && reload_completed"
   [(set (match_dup 4)
 	(plus:SI (lt:SI (match_dup 1) (match_dup 2))
-		  (match_dup 3)))
+		 (match_dup 3)))
    (set (match_dup 0)
 	(compare:CC (match_dup 4)
 		    (const_int 0)))]
   "")
 
 (define_insn ""
-  [(set (match_operand:CC 5 "cc_reg_operand" "=x,?y")
+  [(set (match_operand:CC 4 "cc_reg_operand" "=x,?y")
 	(compare:CC
 	 (plus:SI (lt:SI (match_operand:SI 1 "gpc_reg_operand" "r,r")
 			 (match_operand:SI 2 "reg_or_short_operand" "rI,rI"))
 		  (match_operand:SI 3 "gpc_reg_operand" "r,r"))
 	 (const_int 0)))
    (set (match_operand:SI 0 "gpc_reg_operand" "=r,r")
-	(plus:SI (lt:SI (match_dup 1) (match_dup 2)) (match_dup 3)))
-   (clobber (match_scratch:SI 4 "=&r,&r"))]
+	(plus:SI (lt:SI (match_dup 1) (match_dup 2)) (match_dup 3)))]
   "TARGET_POWER"
   "@
-   doz%I2 %4,%1,%2\;{ai|addic} %4,%4,-1\;{aze.|addze.} %0,%3
+   doz%I2 %0,%1,%2\;{ai|addic} %0,%0,-1\;{aze.|addze.} %0,%3
    #"
   [(set_attr "type" "compare")
    (set_attr "length" "12,16")])
 
 (define_split
-  [(set (match_operand:CC 5 "cc_reg_not_cr0_operand" "")
+  [(set (match_operand:CC 4 "cc_reg_not_cr0_operand" "")
 	(compare:CC
 	 (plus:SI (lt:SI (match_operand:SI 1 "gpc_reg_operand" "")
 			 (match_operand:SI 2 "reg_or_short_operand" ""))
 		  (match_operand:SI 3 "gpc_reg_operand" ""))
 	 (const_int 0)))
    (set (match_operand:SI 0 "gpc_reg_operand" "")
-	(plus:SI (lt:SI (match_dup 1) (match_dup 2)) (match_dup 3)))
-   (clobber (match_scratch:SI 4 ""))]
+	(plus:SI (lt:SI (match_dup 1) (match_dup 2)) (match_dup 3)))]
   "TARGET_POWER && reload_completed"
-  [(parallel [(set (match_dup 0)
+  [(set (match_dup 0)
 	(plus:SI (lt:SI (match_dup 1) (match_dup 2)) (match_dup 3)))
-   (clobber (match_dup 4))])
-   (set (match_dup 5)
+   (set (match_dup 4)
 	(compare:CC (match_dup 0)
 		    (const_int 0)))]
   "")
@@ -11815,46 +11800,43 @@
   "! TARGET_POWERPC64 && reload_completed"
   [(set (match_dup 4)
 	(plus:SI (ltu:SI (match_dup 1) (match_dup 2))
-		  (match_dup 3)))
+		 (match_dup 3)))
    (set (match_dup 0)
 	(compare:CC (match_dup 4)
 		    (const_int 0)))]
   "")
 
 (define_insn ""
-  [(set (match_operand:CC 5 "cc_reg_operand" "=x,x,?y,?y")
+  [(set (match_operand:CC 4 "cc_reg_operand" "=x,x,?y,?y")
 	(compare:CC
 	 (plus:SI (ltu:SI (match_operand:SI 1 "gpc_reg_operand" "r,r,r,r")
 			  (match_operand:SI 2 "reg_or_neg_short_operand" "r,P,r,P"))
 		  (match_operand:SI 3 "gpc_reg_operand" "r,r,r,r"))
 	 (const_int 0)))
    (set (match_operand:SI 0 "gpc_reg_operand" "=r,r,r,r")
-	(plus:SI (ltu:SI (match_dup 1) (match_dup 2)) (match_dup 3)))
-   (clobber (match_scratch:SI 4 "=&r,&r,&r,&r"))]
+	(plus:SI (ltu:SI (match_dup 1) (match_dup 2)) (match_dup 3)))]
   "! TARGET_POWERPC64"
   "@
-   {sf|subfc} %4,%2,%1\;{sfe|subfe} %4,%4,%4\;{sf.|subfc.} %0,%4,%3
-   {ai|addic} %4,%1,%n2\;{sfe|subfe} %4,%4,%4\;{sf.|subfc.} %0,%4,%3
+   {sf|subfc} %0,%2,%1\;{sfe|subfe} %0,%0,%0\;{sf.|subfc.} %0,%0,%3
+   {ai|addic} %0,%1,%n2\;{sfe|subfe} %0,%0,%0\;{sf.|subfc.} %0,%0,%3
    #
    #"
   [(set_attr "type" "compare")
    (set_attr "length" "12,12,16,16")])
 
 (define_split
-  [(set (match_operand:CC 5 "cc_reg_not_cr0_operand" "")
+  [(set (match_operand:CC 4 "cc_reg_not_cr0_operand" "")
 	(compare:CC
 	 (plus:SI (ltu:SI (match_operand:SI 1 "gpc_reg_operand" "")
 			  (match_operand:SI 2 "reg_or_neg_short_operand" ""))
 		  (match_operand:SI 3 "gpc_reg_operand" ""))
 	 (const_int 0)))
    (set (match_operand:SI 0 "gpc_reg_operand" "")
-	(plus:SI (ltu:SI (match_dup 1) (match_dup 2)) (match_dup 3)))
-   (clobber (match_scratch:SI 4 ""))]
+	(plus:SI (ltu:SI (match_dup 1) (match_dup 2)) (match_dup 3)))]
   "! TARGET_POWERPC64 && reload_completed"
-  [(parallel [(set (match_dup 0)
+  [(set (match_dup 0)
 	(plus:SI (ltu:SI (match_dup 1) (match_dup 2)) (match_dup 3)))
-   (clobber (match_dup 4))])
-   (set (match_dup 5)
+   (set (match_dup 4)
 	(compare:CC (match_dup 0)
 		    (const_int 0)))]
   "")
@@ -11905,8 +11887,8 @@
    (clobber (match_scratch:SI 3 ""))]
   "TARGET_POWER && reload_completed"
   [(parallel [(set (match_dup 0)
-	(ge:SI (match_dup 1) (match_dup 2)))
-   (clobber (match_dup 3))])
+		   (ge:SI (match_dup 1) (match_dup 2)))
+	      (clobber (match_dup 3))])
    (set (match_dup 4)
 	(compare:CC (match_dup 0)
 		    (const_int 0)))]
@@ -11916,10 +11898,9 @@
   [(set (match_operand:SI 0 "gpc_reg_operand" "=r")
 	(plus:SI (ge:SI (match_operand:SI 1 "gpc_reg_operand" "r")
 			(match_operand:SI 2 "reg_or_short_operand" "rI"))
-		 (match_operand:SI 3 "gpc_reg_operand" "r")))
-   (clobber (match_scratch:SI 4 "=&r"))]
+		 (match_operand:SI 3 "gpc_reg_operand" "r")))]
   "TARGET_POWER"
-  "doz%I2 %4,%1,%2\;{sfi|subfic} %4,%4,0\;{aze|addze} %0,%3"
+  "doz%I2 %0,%1,%2\;{sfi|subfic} %0,%0,0\;{aze|addze} %0,%3"
   [(set_attr "length" "12")])
 
 (define_insn ""
@@ -11948,44 +11929,41 @@
   "TARGET_POWER && reload_completed"
   [(set (match_dup 4)
 	(plus:SI (ge:SI (match_dup 1) (match_dup 2))
-		  (match_dup 3)))
+		 (match_dup 3)))
    (set (match_dup 0)
 	(compare:CC (match_dup 4)
 		    (const_int 0)))]
   "")
 
 (define_insn ""
-  [(set (match_operand:CC 5 "cc_reg_operand" "=x,?y")
+  [(set (match_operand:CC 4 "cc_reg_operand" "=x,?y")
 	(compare:CC
 	 (plus:SI (ge:SI (match_operand:SI 1 "gpc_reg_operand" "r,r")
 			 (match_operand:SI 2 "reg_or_short_operand" "rI,rI"))
 		  (match_operand:SI 3 "gpc_reg_operand" "r,r"))
 	 (const_int 0)))
    (set (match_operand:SI 0 "gpc_reg_operand" "=r,r")
-	(plus:SI (ge:SI (match_dup 1) (match_dup 2)) (match_dup 3)))
-   (clobber (match_scratch:SI 4 "=&r,&r"))]
+	(plus:SI (ge:SI (match_dup 1) (match_dup 2)) (match_dup 3)))]
   "TARGET_POWER"
   "@
-   doz%I2 %4,%1,%2\;{sfi|subfic} %4,%4,0\;{aze.|addze.} %0,%3
+   doz%I2 %0,%1,%2\;{sfi|subfic} %0,%0,0\;{aze.|addze.} %0,%3
    #"
   [(set_attr "type" "compare")
    (set_attr "length" "12,16")])
 
 (define_split
-  [(set (match_operand:CC 5 "cc_reg_not_cr0_operand" "")
+  [(set (match_operand:CC 4 "cc_reg_not_cr0_operand" "")
 	(compare:CC
 	 (plus:SI (ge:SI (match_operand:SI 1 "gpc_reg_operand" "")
 			 (match_operand:SI 2 "reg_or_short_operand" ""))
 		  (match_operand:SI 3 "gpc_reg_operand" ""))
 	 (const_int 0)))
    (set (match_operand:SI 0 "gpc_reg_operand" "")
-	(plus:SI (ge:SI (match_dup 1) (match_dup 2)) (match_dup 3)))
-   (clobber (match_scratch:SI 4 ""))]
+	(plus:SI (ge:SI (match_dup 1) (match_dup 2)) (match_dup 3)))]
   "TARGET_POWER && reload_completed"
-  [(parallel [(set (match_dup 0)
+  [(set (match_dup 0)
 	(plus:SI (ge:SI (match_dup 1) (match_dup 2)) (match_dup 3)))
-   (clobber (match_dup 4))])
-   (set (match_dup 5)
+   (set (match_dup 4)
 	(compare:CC (match_dup 0)
 		    (const_int 0)))]
   "")
@@ -12130,39 +12108,36 @@
   "")
 
 (define_insn ""
-  [(set (match_operand:CC 5 "cc_reg_operand" "=x,x,?y,?y")
+  [(set (match_operand:CC 4 "cc_reg_operand" "=x,x,?y,?y")
 	(compare:CC
 	 (plus:SI (geu:SI (match_operand:SI 1 "gpc_reg_operand" "r,r,r,r")
 			  (match_operand:SI 2 "reg_or_neg_short_operand" "r,P,r,P"))
 		  (match_operand:SI 3 "gpc_reg_operand" "r,r,r,r"))
 	 (const_int 0)))
    (set (match_operand:SI 0 "gpc_reg_operand" "=r,r,r,r")
-	(plus:SI (geu:SI (match_dup 1) (match_dup 2)) (match_dup 3)))
-   (clobber (match_scratch:SI 4 "=&r,&r,&r,&r"))]
+	(plus:SI (geu:SI (match_dup 1) (match_dup 2)) (match_dup 3)))]
   "! TARGET_POWERPC64"
   "@
-   {sf|subfc} %4,%2,%1\;{aze.|addze.} %0,%3
-   {ai|addic} %4,%1,%n2\;{aze.|addze.} %0,%3
+   {sf|subfc} %0,%2,%1\;{aze.|addze.} %0,%3
+   {ai|addic} %0,%1,%n2\;{aze.|addze.} %0,%3
    #
    #"
   [(set_attr "type" "compare")
    (set_attr "length" "8,8,12,12")])
 
 (define_split
-  [(set (match_operand:CC 5 "cc_reg_not_cr0_operand" "")
+  [(set (match_operand:CC 4 "cc_reg_not_cr0_operand" "")
 	(compare:CC
 	 (plus:SI (geu:SI (match_operand:SI 1 "gpc_reg_operand" "")
 			  (match_operand:SI 2 "reg_or_neg_short_operand" ""))
 		  (match_operand:SI 3 "gpc_reg_operand" ""))
 	 (const_int 0)))
    (set (match_operand:SI 0 "gpc_reg_operand" "")
-	(plus:SI (geu:SI (match_dup 1) (match_dup 2)) (match_dup 3)))
-   (clobber (match_scratch:SI 4 ""))]
+	(plus:SI (geu:SI (match_dup 1) (match_dup 2)) (match_dup 3)))]
   "! TARGET_POWERPC64 && reload_completed"
-  [(parallel [(set (match_dup 0)
+  [(set (match_dup 0)
 	(plus:SI (geu:SI (match_dup 1) (match_dup 2)) (match_dup 3)))
-   (clobber (match_dup 4))])
-   (set (match_dup 5)
+   (set (match_dup 4)
 	(compare:CC (match_dup 0)
 		    (const_int 0)))]
   "")
@@ -12182,12 +12157,11 @@
 	(and:SI (neg:SI
 		 (geu:SI (match_operand:SI 1 "gpc_reg_operand" "r,r")
 			 (match_operand:SI 2 "reg_or_neg_short_operand" "r,P")))
-		(match_operand:SI 3 "gpc_reg_operand" "r,r")))
-   (clobber (match_scratch:SI 4 "=&r,&r"))]
+		(match_operand:SI 3 "gpc_reg_operand" "r,r")))]
   "! TARGET_POWERPC64"
   "@
-   {sf|subfc} %4,%2,%1\;{sfe|subfe} %4,%4,%4\;andc %0,%3,%4
-   {ai|addic} %4,%1,%n2\;{sfe|subfe} %4,%4,%4\;andc %0,%3,%4"
+   {sf|subfc} %0,%2,%1\;{sfe|subfe} %0,%0,%0\;andc %0,%3,%0
+   {ai|addic} %0,%1,%n2\;{sfe|subfe} %0,%0,%0\;andc %0,%3,%0"
   [(set_attr "length" "12")])
 
 (define_insn ""
@@ -12219,16 +12193,15 @@
    (clobber (match_scratch:SI 4 ""))]
   "! TARGET_POWERPC64 && reload_completed"
   [(set (match_dup 4)
-	(and:SI (neg:SI (geu:SI (match_dup 1)
-			  (match_dup 2)))
-		 (match_dup 3)))
+	(and:SI (neg:SI (geu:SI (match_dup 1) (match_dup 2)))
+		(match_dup 3)))
    (set (match_dup 0)
 	(compare:CC (match_dup 4)
 		    (const_int 0)))]
   "")
 
 (define_insn ""
-  [(set (match_operand:CC 5 "cc_reg_operand" "=x,x,?y,?y")
+  [(set (match_operand:CC 4 "cc_reg_operand" "=x,x,?y,?y")
 	(compare:CC
 	 (and:SI (neg:SI
 		  (geu:SI (match_operand:SI 1 "gpc_reg_operand" "r,r,r,r")
@@ -12236,19 +12209,18 @@
 		 (match_operand:SI 3 "gpc_reg_operand" "r,r,r,r"))
 	 (const_int 0)))
    (set (match_operand:SI 0 "gpc_reg_operand" "=r,r,r,r")
-	(and:SI (neg:SI (geu:SI (match_dup 1) (match_dup 2))) (match_dup 3)))
-   (clobber (match_scratch:SI 4 "=&r,&r,&r,&r"))]
+	(and:SI (neg:SI (geu:SI (match_dup 1) (match_dup 2))) (match_dup 3)))]
   "! TARGET_POWERPC64"
   "@
-   {sf|subfc} %4,%2,%1\;{sfe|subfe} %4,%4,%4\;andc. %0,%3,%4
-   {ai|addic} %4,%1,%n2\;{sfe|subfe} %4,%4,%4\;andc. %0,%3,%4
+   {sf|subfc} %0,%2,%1\;{sfe|subfe} %0,%0,%0\;andc. %0,%3,%0
+   {ai|addic} %0,%1,%n2\;{sfe|subfe} %0,%0,%0\;andc. %0,%3,%0
    #
    #"
   [(set_attr "type" "compare")
    (set_attr "length" "12,12,16,16")])
 
 (define_split
-  [(set (match_operand:CC 5 "cc_reg_not_cr0_operand" "")
+  [(set (match_operand:CC 4 "cc_reg_not_cr0_operand" "")
 	(compare:CC
 	 (and:SI (neg:SI
 		  (geu:SI (match_operand:SI 1 "gpc_reg_operand" "")
@@ -12256,13 +12228,11 @@
 		 (match_operand:SI 3 "gpc_reg_operand" ""))
 	 (const_int 0)))
    (set (match_operand:SI 0 "gpc_reg_operand" "")
-	(and:SI (neg:SI (geu:SI (match_dup 1) (match_dup 2))) (match_dup 3)))
-   (clobber (match_scratch:SI 4 ""))]
+	(and:SI (neg:SI (geu:SI (match_dup 1) (match_dup 2))) (match_dup 3)))]
   "! TARGET_POWERPC64 && reload_completed"
-  [(parallel [(set (match_dup 0)
+  [(set (match_dup 0)
 	(and:SI (neg:SI (geu:SI (match_dup 1) (match_dup 2))) (match_dup 3)))
-   (clobber (match_dup 4))])
-   (set (match_dup 5)
+   (set (match_dup 4)
 	(compare:CC (match_dup 0)
 		    (const_int 0)))]
   "")
@@ -12397,10 +12367,9 @@
   [(set (match_operand:DI 0 "gpc_reg_operand" "=r")
 	(plus:DI (gt:DI (match_operand:DI 1 "gpc_reg_operand" "r")
 			(const_int 0))
-		 (match_operand:DI 2 "gpc_reg_operand" "r")))
-   (clobber (match_scratch:DI 3 "=&r"))]
+		 (match_operand:DI 2 "gpc_reg_operand" "r")))]
   "TARGET_POWERPC64"
-  "addc %3,%1,%1\;subfe %3,%1,%3\;addze %0,%2"
+  "addc %0,%1,%1\;subfe %0,%1,%0\;addze %0,%2"
   [(set_attr "length" "12")])
 
 (define_insn ""
@@ -12461,80 +12430,74 @@
   "TARGET_POWERPC64 && reload_completed"
   [(set (match_dup 3)
 	(plus:DI (gt:DI (match_dup 1) (const_int 0))
-		  (match_dup 2)))
+		 (match_dup 2)))
    (set (match_dup 0)
 	(compare:CC (match_dup 3)
 		    (const_int 0)))]
   "")
 
 (define_insn ""
-  [(set (match_operand:CC 4 "cc_reg_operand" "=x,?y")
+  [(set (match_operand:CC 3 "cc_reg_operand" "=x,?y")
 	(compare:CC
 	 (plus:SI (gt:SI (match_operand:SI 1 "gpc_reg_operand" "r,r")
 			 (const_int 0))
 		  (match_operand:SI 2 "gpc_reg_operand" "r,r"))
 	 (const_int 0)))
    (set (match_operand:SI 0 "gpc_reg_operand" "=r,r")
-	(plus:SI (gt:SI (match_dup 1) (const_int 0)) (match_dup 2)))
-   (clobber (match_scratch:SI 3 "=&r,&r"))]
+	(plus:SI (gt:SI (match_dup 1) (const_int 0)) (match_dup 2)))]
   "! TARGET_POWERPC64"
   "@
-   {a|addc} %3,%1,%1\;{sfe|subfe} %3,%1,%3\;{aze.|addze.} %0,%2
+   {a|addc} %0,%1,%1\;{sfe|subfe} %0,%1,%0\;{aze.|addze.} %0,%2
    #"
   [(set_attr "type" "compare")
    (set_attr "length" "12,16")])
 
 (define_split
-  [(set (match_operand:CC 4 "cc_reg_not_cr0_operand" "")
+  [(set (match_operand:CC 3 "cc_reg_not_cr0_operand" "")
 	(compare:CC
 	 (plus:SI (gt:SI (match_operand:SI 1 "gpc_reg_operand" "")
 			 (const_int 0))
 		  (match_operand:SI 2 "gpc_reg_operand" ""))
 	 (const_int 0)))
    (set (match_operand:SI 0 "gpc_reg_operand" "")
-	(plus:SI (gt:SI (match_dup 1) (const_int 0)) (match_dup 2)))
-   (clobber (match_scratch:SI 3 ""))]
+	(plus:SI (gt:SI (match_dup 1) (const_int 0)) (match_dup 2)))]
   "! TARGET_POWERPC64 && reload_completed"
-  [(parallel [(set (match_dup 0)
+  [(set (match_dup 0)
 	(plus:SI (gt:SI (match_dup 1) (const_int 0)) (match_dup 2)))
-   (clobber (match_dup 3))])
-   (set (match_dup 4)
+   (set (match_dup 3)
 	(compare:CC (match_dup 0)
 		    (const_int 0)))]
   "")
 
 (define_insn ""
-  [(set (match_operand:CC 4 "cc_reg_operand" "=x,?y")
+  [(set (match_operand:CC 3 "cc_reg_operand" "=x,?y")
 	(compare:CC
 	 (plus:DI (gt:DI (match_operand:DI 1 "gpc_reg_operand" "r,r")
 			 (const_int 0))
 		  (match_operand:DI 2 "gpc_reg_operand" "r,r"))
 	 (const_int 0)))
    (set (match_operand:DI 0 "gpc_reg_operand" "=r,r")
-	(plus:DI (gt:DI (match_dup 1) (const_int 0)) (match_dup 2)))
-   (clobber (match_scratch:DI 3 "=&r,&r"))]
+	(plus:DI (gt:DI (match_dup 1) (const_int 0)) (match_dup 2)))]
   "TARGET_POWERPC64"
   "@
-   addc %3,%1,%1\;subfe %3,%1,%3\;addze. %0,%2
+   addc %0,%1,%1\;subfe %0,%1,%0\;addze. %0,%2
    #"
   [(set_attr "type" "compare")
    (set_attr "length" "12,16")])
 
 (define_split
-  [(set (match_operand:CC 4 "cc_reg_not_cr0_operand" "")
+  [(set (match_operand:CC 3 "cc_reg_not_cr0_operand" "")
 	(compare:CC
 	 (plus:DI (gt:DI (match_operand:DI 1 "gpc_reg_operand" "")
 			 (const_int 0))
 		  (match_operand:DI 2 "gpc_reg_operand" ""))
 	 (const_int 0)))
    (set (match_operand:DI 0 "gpc_reg_operand" "")
-	(plus:DI (gt:DI (match_dup 1) (const_int 0)) (match_dup 2)))
-   (clobber (match_scratch:DI 3 ""))]
+	(plus:DI (gt:DI (match_dup 1) (const_int 0)) (match_dup 2)))]
   "TARGET_POWERPC64 && reload_completed"
-  [(parallel [(set (match_dup 0)
+  [(set (match_dup 0)
 	(plus:DI (gt:DI (match_dup 1) (const_int 0)) (match_dup 2)))
-   (clobber (match_dup 3))])
-   (set (match_dup 4)
+   (set (match_dup 3)
 	(compare:CC (match_dup 0)
 		    (const_int 0)))]
   "")
@@ -12543,10 +12506,9 @@
   [(set (match_operand:SI 0 "gpc_reg_operand" "=r")
 	(plus:SI (gt:SI (match_operand:SI 1 "gpc_reg_operand" "r")
 			(match_operand:SI 2 "reg_or_short_operand" "r"))
-		 (match_operand:SI 3 "gpc_reg_operand" "r")))
-   (clobber (match_scratch:SI 4 "=&r"))]
+		 (match_operand:SI 3 "gpc_reg_operand" "r")))]
   "TARGET_POWER"
-  "doz %4,%2,%1\;{ai|addic} %4,%4,-1\;{aze|addze} %0,%3"
+  "doz %0,%2,%1\;{ai|addic} %0,%0,-1\;{aze|addze} %0,%3"
   [(set_attr "length" "12")])
 
 (define_insn ""
@@ -12574,45 +12536,41 @@
    (clobber (match_scratch:SI 4 ""))]
   "TARGET_POWER && reload_completed"
   [(set (match_dup 4)
-	(plus:SI (gt:SI (match_dup 1) (match_dup 2))
-		  (match_dup 3)))
+	(plus:SI (gt:SI (match_dup 1) (match_dup 2)) (match_dup 3)))
    (set (match_dup 0)
 	(compare:CC (match_dup 4)
 		    (const_int 0)))]
   "")
 
 (define_insn ""
-  [(set (match_operand:CC 5 "cc_reg_operand" "=x,?y")
+  [(set (match_operand:CC 4 "cc_reg_operand" "=x,?y")
 	(compare:CC
 	 (plus:SI (gt:SI (match_operand:SI 1 "gpc_reg_operand" "r,r")
 			 (match_operand:SI 2 "reg_or_short_operand" "r,r"))
 		  (match_operand:SI 3 "gpc_reg_operand" "r,r"))
 	 (const_int 0)))
    (set (match_operand:SI 0 "gpc_reg_operand" "=r,r")
-	(plus:SI (gt:SI (match_dup 1) (match_dup 2)) (match_dup 3)))
-   (clobber (match_scratch:SI 4 "=&r,&r"))]
+	(plus:SI (gt:SI (match_dup 1) (match_dup 2)) (match_dup 3)))]
   "TARGET_POWER"
   "@
-   doz %4,%2,%1\;{ai|addic} %4,%4,-1\;{aze.|addze.} %0,%3
+   doz %0,%2,%1\;{ai|addic} %0,%0,-1\;{aze.|addze.} %0,%3
    #"
   [(set_attr "type" "compare")
    (set_attr "length" "12,16")])
 
 (define_split
-  [(set (match_operand:CC 5 "cc_reg_not_cr0_operand" "")
+  [(set (match_operand:CC 4 "cc_reg_not_cr0_operand" "")
 	(compare:CC
 	 (plus:SI (gt:SI (match_operand:SI 1 "gpc_reg_operand" "")
 			 (match_operand:SI 2 "reg_or_short_operand" ""))
 		  (match_operand:SI 3 "gpc_reg_operand" ""))
 	 (const_int 0)))
    (set (match_operand:SI 0 "gpc_reg_operand" "")
-	(plus:SI (gt:SI (match_dup 1) (match_dup 2)) (match_dup 3)))
-   (clobber (match_scratch:SI 4 ""))]
+	(plus:SI (gt:SI (match_dup 1) (match_dup 2)) (match_dup 3)))]
   "TARGET_POWER && reload_completed"
-  [(parallel [(set (match_dup 0)
+  [(set (match_dup 0)
 	(plus:SI (gt:SI (match_dup 1) (match_dup 2)) (match_dup 3)))
-   (clobber (match_dup 4))])
-   (set (match_dup 5)
+   (set (match_dup 4)
 	(compare:CC (match_dup 0)
 		    (const_int 0)))]
   "")
@@ -12734,12 +12692,11 @@
   [(set (match_operand:DI 0 "gpc_reg_operand" "=r,r")
 	(plus:DI (gtu:DI (match_operand:DI 1 "gpc_reg_operand" "r,r")
 			 (match_operand:DI 2 "reg_or_short_operand" "I,rI"))
-		 (match_operand:DI 3 "reg_or_short_operand" "r,rI")))
-   (clobber (match_scratch:DI 4 "=&r,&r"))]
+		 (match_operand:DI 3 "reg_or_short_operand" "r,rI")))]
   "TARGET_POWERPC64"
   "@
-   addic %4,%1,%k2\;addze %0,%3
-   subf%I2c %4,%1,%2\;subfe %4,%4,%4\;subf%I3c %0,%4,%3"
+   addic %0,%1,%k2\;addze %0,%3
+   subf%I2c %0,%1,%2\;subfe %0,%0,%0\;subf%I3c %0,%0,%3"
   [(set_attr "length" "8,12")])
 
 (define_insn ""
@@ -12770,7 +12727,7 @@
   "! TARGET_POWERPC64 && reload_completed"
   [(set (match_dup 4)
 	(plus:SI (gtu:SI (match_dup 1) (match_dup 2))
-		  (match_dup 3)))
+		 (match_dup 3)))
    (set (match_dup 0)
 	(compare:CC (match_dup 4)
 		    (const_int 0)))]
@@ -12811,77 +12768,71 @@
   "")
 
 (define_insn ""
-  [(set (match_operand:CC 5 "cc_reg_operand" "=x,x,?y,?y")
+  [(set (match_operand:CC 4 "cc_reg_operand" "=x,x,?y,?y")
 	(compare:CC
 	 (plus:SI (gtu:SI (match_operand:SI 1 "gpc_reg_operand" "r,r,r,r")
 			  (match_operand:SI 2 "reg_or_short_operand" "I,r,I,r"))
 		  (match_operand:SI 3 "gpc_reg_operand" "r,r,r,r"))
 	 (const_int 0)))
    (set (match_operand:SI 0 "gpc_reg_operand" "=r,r,r,r")
-	(plus:SI (gtu:SI (match_dup 1) (match_dup 2)) (match_dup 3)))
-   (clobber (match_scratch:SI 4 "=&r,&r,&r,&r"))]
+	(plus:SI (gtu:SI (match_dup 1) (match_dup 2)) (match_dup 3)))]
   "! TARGET_POWERPC64"
   "@
-   {ai|addic} %4,%1,%k2\;{aze.|addze.} %0,%3
-   {sf%I2|subf%I2c} %4,%1,%2\;{sfe|subfe} %4,%4,%4\;{sf.|subfc.} %0,%4,%3
+   {ai|addic} %0,%1,%k2\;{aze.|addze.} %0,%3
+   {sf%I2|subf%I2c} %0,%1,%2\;{sfe|subfe} %0,%0,%0\;{sf.|subfc.} %0,%0,%3
    #
    #"
   [(set_attr "type" "compare")
    (set_attr "length" "8,12,12,16")])
 
 (define_split
-  [(set (match_operand:CC 5 "cc_reg_not_cr0_operand" "")
+  [(set (match_operand:CC 4 "cc_reg_not_cr0_operand" "")
 	(compare:CC
 	 (plus:SI (gtu:SI (match_operand:SI 1 "gpc_reg_operand" "")
 			  (match_operand:SI 2 "reg_or_short_operand" ""))
 		  (match_operand:SI 3 "gpc_reg_operand" ""))
 	 (const_int 0)))
    (set (match_operand:SI 0 "gpc_reg_operand" "")
-	(plus:SI (gtu:SI (match_dup 1) (match_dup 2)) (match_dup 3)))
-   (clobber (match_scratch:SI 4 ""))]
+	(plus:SI (gtu:SI (match_dup 1) (match_dup 2)) (match_dup 3)))]
   "! TARGET_POWERPC64 && reload_completed"
-  [(parallel [(set (match_dup 0)
+  [(set (match_dup 0)
 	(plus:SI (gtu:SI (match_dup 1) (match_dup 2)) (match_dup 3)))
-   (clobber (match_dup 4))])
-   (set (match_dup 5)
+   (set (match_dup 4)
 	(compare:CC (match_dup 0)
 		    (const_int 0)))]
   "")
 
 (define_insn ""
-  [(set (match_operand:CC 5 "cc_reg_operand" "=x,x,?y,?y")
+  [(set (match_operand:CC 4 "cc_reg_operand" "=x,x,?y,?y")
 	(compare:CC
 	 (plus:DI (gtu:DI (match_operand:DI 1 "gpc_reg_operand" "r,r,r,r")
 			  (match_operand:DI 2 "reg_or_short_operand" "I,r,I,r"))
 		  (match_operand:DI 3 "gpc_reg_operand" "r,r,r,r"))
 	 (const_int 0)))
    (set (match_operand:DI 0 "gpc_reg_operand" "=r,r,r,r")
-	(plus:DI (gtu:DI (match_dup 1) (match_dup 2)) (match_dup 3)))
-   (clobber (match_scratch:DI 4 "=&r,&r,&r,&r"))]
+	(plus:DI (gtu:DI (match_dup 1) (match_dup 2)) (match_dup 3)))]
   "TARGET_POWERPC64"
   "@
-   addic %4,%1,%k2\;addze. %0,%3
-   subf%I2c %4,%1,%2\;subfe %4,%4,%4\;subfc. %0,%4,%3
+   addic %0,%1,%k2\;addze. %0,%3
+   subf%I2c %0,%1,%2\;subfe %0,%0,%0\;subfc. %0,%0,%3
    #
    #"
   [(set_attr "type" "compare")
    (set_attr "length" "8,12,12,16")])
 
 (define_split
-  [(set (match_operand:CC 5 "cc_reg_not_cr0_operand" "")
+  [(set (match_operand:CC 4 "cc_reg_not_cr0_operand" "")
 	(compare:CC
 	 (plus:DI (gtu:DI (match_operand:DI 1 "gpc_reg_operand" "")
 			  (match_operand:DI 2 "reg_or_short_operand" ""))
 		  (match_operand:DI 3 "gpc_reg_operand" ""))
 	 (const_int 0)))
    (set (match_operand:DI 0 "gpc_reg_operand" "")
-	(plus:DI (gtu:DI (match_dup 1) (match_dup 2)) (match_dup 3)))
-   (clobber (match_scratch:DI 4 ""))]
+	(plus:DI (gtu:DI (match_dup 1) (match_dup 2)) (match_dup 3)))]
   "TARGET_POWERPC64 && reload_completed"
-  [(parallel [(set (match_dup 0)
+  [(set (match_dup 0)
 	(plus:DI (gtu:DI (match_dup 1) (match_dup 2)) (match_dup 3)))
-   (clobber (match_dup 4))])
-   (set (match_dup 5)
+   (set (match_dup 4)
 	(compare:CC (match_dup 0)
 		    (const_int 0)))]
   "")


--nqkreNcslJAfgyzk
Content-Type: application/x-gunzip
Content-Disposition: attachment; filename="workspace.i.gz"
Content-Transfer-Encoding: base64
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--nqkreNcslJAfgyzk--
>Release-Note:
>Audit-Trail:
>Unformatted:
 --nqkreNcslJAfgyzk
 Content-Type: text/plain; charset=us-ascii
 Content-Disposition: inline
 
 


Index Nav: [Date Index] [Subject Index] [Author Index] [Thread Index]
Message Nav: [Date Prev] [Date Next] [Thread Prev] [Thread Next]