This is the mail archive of the gcc-prs@gcc.gnu.org mailing list for the GCC project.


Index Nav: [Date Index] [Subject Index] [Author Index] [Thread Index]
Message Nav: [Date Prev] [Date Next] [Thread Prev] [Thread Next]
Other format: [Raw text]

c/9894: sscanf %d doesn't work with short data type


>Number:         9894
>Category:       c
>Synopsis:       sscanf %d doesn't work with short data type
>Confidential:   no
>Severity:       serious
>Priority:       medium
>Responsible:    unassigned
>State:          open
>Class:          sw-bug
>Submitter-Id:   net
>Arrival-Date:   Sat Mar 01 01:56:00 UTC 2003
>Closed-Date:
>Last-Modified:
>Originator:     JongAm Park
>Release:        3.2 20020927 ( Cygwin gcc ), 2.95.2 19991024 (release) ( SUN)
>Organization:
>Environment:
For Cygwin : Windows XP / RAM 512MB / HDD 20GB / CPU Mobile Pentium 1.7GHz

For Sun :
SunOS aludra.usc.edu 5.8 Generic_108528-18 sun4u sparc SUNW,Sun-Fire-880
>Description:
short num1;

sscanf( a_line, "%d", &num1 );

repeated call of the above sscanf line
causes BUS error and num1 doesn't contain valid value
You should declare the num1 as integer.
>How-To-Repeat:
Please, just try to make any source file.
And do what I wrote in the description.
>Fix:
The %d should work for the short data type without any problem.
>Release-Note:
>Audit-Trail:
>Unformatted:
----gnatsweb-attachment----
Content-Type: application/zip; name="sscanf_type.zip"
Content-Transfer-Encoding: base64
Content-Disposition: attachment; filename="sscanf_type.zip"
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Index Nav: [Date Index] [Subject Index] [Author Index] [Thread Index]
Message Nav: [Date Prev] [Date Next] [Thread Prev] [Thread Next]